【原创】芯和代文亮:左手人工智能,右手HPC,中间需要Chiplet来拉通!

作者:电子创新网张国斌

7月12日,第十六届集成电路封测产业链创新发展论坛及第二届集成电路产才融合发展大会在苏州召开,在同期召开的芯片设计与先进封装技术专题论坛上,与会专家就先进封装技术发展和趋势进行了研讨。

1.png

芯和半导体联合创始人、总裁代文亮博士发表了《Chiplet先进封装设计探索与多物理场仿真》的主题演讲。

“摩尔时代很痛苦,随着工艺从3nm到2nm再往下原子级别,从工艺研发的角度很痛苦,另外是成本痛苦,基本上只有大厂才能玩得转,3nm、2nm几千万美元的NRE费用不是一般小厂可以承担的。”他分析说。

他指出在当今的科技领域,人工智能(AI)和高性能计算(HPC)正迅速发展,对芯片技术提出了更高的要求。传统的SoC(系统级芯片)在应对这些需求时已显得力不从心。Chiplet技术作为一种新兴的解决方案,通过将不同功能模块集成在一起,提供了更高效、更灵活的芯片设计方式。

|发展Chiplet技术的必要性

代博士表示,Chiplet是AI大算力芯片的必走之路,传统算力架构存在“存储墙”,数据读写导致额外功耗,需探索新型架构。存内计算和近存计算架构是当前考虑提升能效比的最有效途径。3DIC Chiplet、HBM与异构集成等多领域技术融合,协同提升高能效算力。他从算力、成本、设计周期等角度分析了发展Chiplet技术的必要性。

1. 算力需求的提升 

他指出随着AI和HPC的发展,计算能力的需求不断攀升。传统的SoC难以满足这些需求,而Chiplet技术通过将计算、存储、I/O等模块集成在一起,能够更有效地提升整体算力。Chiplet技术还允许不同功能模块的自由组合,实现了高度灵活的芯片设计,满足了多样化的应用场景。

2. 成本和良率的优化

在摩尔定律逐渐失效的背景下,继续缩小制程节点变得越来越困难和昂贵。3nm和2nm制程的研发成本巨大,只有少数大厂能够承担。而Chiplet技术通过模块化设计,可以使用较大的芯片组件,降低了单个模块的复杂度和制造难度,从而提高了良率并降低了整体成本。

3. 设计周期的缩短

 传统SoC的开发周期较长,而Chiplet技术由于其模块化设计,允许不同模块的并行开发和测试。这样,芯片的发布周期可以大大缩短,提高了市场响应速度和竞争力。例如,英特尔、英伟达等公司通过Chiplet技术实现了更快的产品迭代周期。

|Chiplet技术面临的挑战

他也分析了Chiplet技术面临的挑战,主要有三点:

  1. 高密度和高互联

Chiplet技术需要在有限的空间内实现高密度的功能模块集成,这带来了互联复杂度的显著提升。如何在保持性能的同时,确保不同模块之间的高效互联,是Chiplet设计的一个重大挑战。

2. 电、热、应力等多物理场的综合考虑

在Chiplet设计中,不同模块之间的电、热、应力等物理场的相互影响非常复杂。需要综合考虑这些因素,确保整个系统的稳定性和可靠性。这不仅增加了设计难度,也对仿真工具和方法提出了更高的要求。

3. 标准化和兼容性

他指出Chiplet技术的广泛应用需要建立统一的标准,以确保不同模块之间的兼容性和可互操作性。目前,各大厂商在标准化方面还需进一步协同和推进。

大家都知道,Chiplet技术标准是推动Chiplet技术发展和应用的重要基础。当前,全球范围内已经有一些知名的Chiplet技术标准被提出和采用,如UCIe和国内Chiplet技术标准等,这些标准涵盖了Chiplet之间的物理连接、数据传输以及封装等多个方面,为Chiplet技术的应用提供了全面的解决方案。

1. UCIe(Universal Chiplet Interconnect Express)

概述:UCIe是由Intel、AMD、ARM、台积电、三星等十个芯片巨头联合推出的Chiplet标准,旨在通过统一的接口规范促进Chiplet技术的普及和应用。

特点:UCIe标准涵盖了物理层、链路层和通讯协议层等多个层面,为Chiplet之间的互连提供了全面的解决方案。它支持高速、低功耗和低延迟的数据传输,适用于各种不同类型的Chiplet。

影响:UCIe标准的推出加速了Chiplet技术的发展和应用,为芯片产业带来了新的机遇和挑战。

2. 国内Chiplet技术标准

概述:2022年12月16日,在第二届中国互连技术与产业大会上,国内集成电路领域相关企业和专家共同主导制定的《小芯片接口总线技术要求》团体标准正式发布,这是国内首个原生Chiplet技术标准。

特点:该标准针对国内芯片产业的实际情况和需求进行制定,旨在解决国内芯片企业在应用Chiplet技术时面临的关键技术问题,推动国内芯片产业的快速发展。

意义:国内Chiplet技术标准的出台,不仅有利于国内芯片企业掌握核心技术,提高自主创新能力,还有助于降低对国外技术的依赖,保障国家信息安全。

3. 其他Chiplet技术标准

除了UCIe和国内Chiplet技术标准外,还有一些其他的Chiplet技术标准正在研究和制定中。这些标准可能针对不同的应用场景和需求进行定制,以满足芯片产业的多样化需求。

|芯和半导体针对Chiplet技术的解决方案

2.png

代博士表示芯和半导体在Chiplet技术方面提供了全方位的解决方案,以应对上述挑战,主要有:

1. 多物理场仿真工具

芯和半导体开发了先进的多物理场仿真工具,能够同时考虑信号完整性、电源完整性以及电热耦合效应等因素。该工具在精度和速度上具有显著优势,能够在短时间内完成复杂的仿真任务,帮助设计师快速验证和优化设计。

2. 模块化设计与系统架构探索 

芯和半导体提供了一整套的Chiplet模块化设计和系统架构探索方案。通过细致的架构设计和模块选型,确保不同模块之间的高效协同和可靠性。该方案还包括可靠性验证和协同分析,确保设计的可行性和优化性。

3. 产业生态建设

芯和半导体积极参与Chiplet技术的标准化工作,与国际和国内多家厂商合作,推动产业链的协同发展。通过构建完整的产业生态系统,包括EDA工具、Fabless公司和封装厂商的合作,确保从设计到制造的全流程优化。

3 (1).png

代博士表示芯和半导体正在积极建设Chiplet集成系统产业生态,产业生态主要从工艺、接口、格式、信号互连考虑。“我们芯和EDA工具支持台积电、三星、英特尔和ASE的先进封装工艺,也支持HBM2/2E/3/3E、GDDR6/5/4、PCIe等接口规范。产业生态EDA、Fabless然后厂商拉通,建模、设计、仿真等全流程拉通。从芯片工艺到芯片封装、模组到PCB到应用系统,我们提供的是整个EDA 信号解决方案。”他总结说,“人工智能和HPC需要Chiplet全链条拉起来,所以我们打造了Chiplet先进封装的平台。目前,人工智能对HPC高性能计算越来越重要,Chiplet是它的解决之道。随着这些技术和解决方案的不断完善,Chiplet技术将在未来的芯片设计中发挥越来越重要的作用。

注:本文为原创文章,未经作者授权严禁转载或部分摘录切割使用,否则我们将保留侵权追诉的权利

最新文章